Searched refs:isl_int_is_divisible_by (Results 1 - 11 of 11) sorted by relevance

/haiku-buildtools/isl/
H A Disl_equalities.c98 if (!isl_int_is_divisible_by(C->row[1+i][0], C->row[0][0]))
509 if (!isl_int_is_divisible_by(TC->row[1+i][0], TC->row[0][0])) {
674 if (!isl_int_is_divisible_by(C->row[1][0], C->row[0][0])) {
H A Disl_int.h119 #define isl_int_is_divisible_by(i,j) mpz_divisible_p(i,j) macro
H A Disl_morph.c431 if (!isl_int_is_divisible_by(C->row[1 + i][0], g))
572 if (isl_int_is_divisible_by(gcd, morph->inv->row[0][0]))
H A Disl_tab_pip.c1507 if (!isl_int_is_divisible_by(tab->mat->row[row][off + col],
1515 if (!isl_int_is_divisible_by(tab->mat->row[row][off + col],
1534 if (!isl_int_is_divisible_by(tab->mat->row[row][off + i],
1545 return isl_int_is_divisible_by(tab->mat->row[row][1],
3461 strict = !isl_int_is_divisible_by(vec->el[0], gcd);
H A Disl_affine_hull.c1198 if (isl_int_is_divisible_by(gcd, M->row[0][0]))
H A Disl_val.c865 return isl_int_is_divisible_by(v1->n, v2->n);
H A Disl_tab.c1563 return !isl_int_is_divisible_by(tab->mat->row[row][1],
2364 if (!isl_int_is_divisible_by(tab->mat->row[row][1],
H A Disl_map_simplify.c339 if (!isl_int_is_divisible_by(bmap->eq[i][0], gcd)) {
H A Disl_aff.c1561 if (isl_int_is_pos(f) && isl_int_is_divisible_by(aff->v->el[0], f)) {
H A Disl_map.c1921 lb = isl_int_is_divisible_by(v, bmap->div[div][0]);
1926 ub = isl_int_is_divisible_by(v, bmap->div[div][0]);
/haiku-buildtools/isl/include/isl/
H A Dint.h114 #define isl_int_is_divisible_by(i,j) mpz_divisible_p(i,j) macro

Completed in 143 milliseconds