Searched refs:trigger (Results 1 - 25 of 79) sorted by relevance

1234

/freebsd-10.1-release/contrib/openbsm/bin/audit/
H A Daudit.c32 * Program to trigger the audit daemon with a message that is either:
82 send_trigger(int trigger) argument
98 error = auditd_control(serverPort, trigger);
100 mach_error("Error sending trigger: ", error);
110 send_trigger(int trigger) argument
114 error = audit_send_trigger(&trigger);
119 perror("Error sending trigger");
142 unsigned int trigger = 0; local
151 trigger = AUDIT_TRIGGER_EXPIRE_TRAILS;
155 trigger
[all...]
/freebsd-10.1-release/sys/contrib/dts/arm/
H A Dat91sam9g20ek.dts21 linux,default-trigger = "heartbeat";
H A Dkizbox.dts104 linux,default-trigger = "none";
110 linux,default-trigger = "none";
116 linux,default-trigger = "none";
123 linux,default-trigger = "none";
H A Dat91sam9g20ek_2mmc.dts47 linux,default-trigger = "heartbeat";
H A Dat91rm9200ek.dts115 linux,default-trigger = "mmc0";
121 linux,default-trigger = "heartbeat";
H A Dat91sam9n12ek.dts139 linux,default-trigger = "mmc0";
145 linux,default-trigger = "nand-disk";
151 linux,default-trigger = "heartbeat";
H A Dat91sam9260.dtsi622 atmel,adc-trigger-register = <0x04>;
627 trigger@0 {
628 trigger-name = "timer-counter-0";
629 trigger-value = <0x1>;
631 trigger@1 {
632 trigger-name = "timer-counter-1";
633 trigger-value = <0x3>;
636 trigger@2 {
637 trigger-name = "timer-counter-2";
638 trigger
[all...]
H A Dat91sam9m10g45ek.dts210 linux,default-trigger = "heartbeat";
221 linux,default-trigger = "nand-disk";
228 linux,default-trigger = "mmc0";
H A Dat91sam9g45.dtsi646 atmel,adc-trigger-register = <0x08>;
651 trigger@0 {
652 trigger-name = "external-rising";
653 trigger-value = <0x1>;
654 trigger-external;
656 trigger@1 {
657 trigger-name = "external-falling";
658 trigger-value = <0x2>;
659 trigger-external;
662 trigger
[all...]
H A Dat91sam9x5.dtsi635 atmel,adc-trigger-register = <0xc0>;
640 trigger@0 {
641 trigger-name = "external-rising";
642 trigger-value = <0x1>;
643 trigger-external;
646 trigger@1 {
647 trigger-name = "external-falling";
648 trigger-value = <0x2>;
649 trigger-external;
652 trigger
[all...]
H A Dat91sam9x5cm.dtsi79 linux,default-trigger = "heartbeat";
/freebsd-10.1-release/contrib/openbsm/bin/auditd/
H A Dauditd_control.defs49 in trigger : int);
H A Dauditd_fbsd.c186 * Open the trigger messaging mechanism.
196 * Close the trigger messaging mechanism.
206 * The main event loop. Wait for trigger messages or signals and handle them.
213 unsigned int trigger; local
216 num = read(triggerfd, &trigger, sizeof(trigger));
252 auditd_handle_trigger(trigger);
H A Dauditd.h93 void auditd_handle_trigger(int trigger);
H A Dauditd.c214 * trigger from the kernel.
348 * Close auditd pid file and trigger mechanism.
362 auditd_log_err("Error closing trigger messaging mechanism");
473 * Handle the audit trigger event.
479 * specific concern is the rotate trigger -- if one is dropped, then it will
484 auditd_handle_trigger(int trigger) argument
500 switch (trigger) {
508 if ((trigger == last_trigger) &&
512 "Suppressing duplicate trigger %d",
513 trigger);
[all...]
H A Dauditd_darwin.c313 * Allocate a trigger port.
336 auditd_log_err("Allocation of trigger port failed");
339 * Create a send right on our trigger port.
345 * Register the trigger port with the kernel.
359 * Open the trigger messaging mechanism.
369 * Close the trigger messaging mechanism.
380 * a trigger or signal message.
425 * The main event loop. Wait for trigger messages or signals and handle them.
446 audit_triggers(mach_port_t __unused audit_port, int trigger) argument
449 auditd_handle_trigger(trigger);
459 auditd_control(mach_port_t __unused auditd_port, int trigger) argument
[all...]
/freebsd-10.1-release/sys/boot/fdt/dts/arm/
H A Dhl201.dts24 linux,default-trigger = "heartbeat";
/freebsd-10.1-release/sys/security/audit/
H A Daudit_trigger.c50 * Currently, select/poll are not supported on the trigger device.
53 unsigned int trigger; member in struct:trigger_info
57 static MALLOC_DEFINE(M_AUDITTRIGGER, "audit_trigger", "Audit trigger events");
85 /* Flush the queue of pending trigger events. */
117 error = uiomove(&ti->trigger, sizeof(ti->trigger), uio);
132 audit_send_trigger(unsigned int trigger) argument
144 ti->trigger = trigger;
/freebsd-10.1-release/crypto/openssl/crypto/
H A Darmcap.c43 static int trigger = 0; local
45 if (trigger)
47 trigger = 1;
H A Dppccap.c72 static int trigger = 0; local
74 if (trigger)
76 trigger = 1;
H A Dsparcv9cap.c99 static int trigger = 0;
101 if (trigger)
103 trigger = 1;
184 static int trigger = 0; local
186 if (trigger)
188 trigger = 1;
/freebsd-10.1-release/sys/dev/eisa/
H A Deisaconf.h79 eisa_add_intr(device_t dev, int irq, int trigger) argument
81 return (EISA_ADD_INTR(device_get_parent(dev), dev, irq, trigger));
/freebsd-10.1-release/sys/x86/isa/
H A Delcr.c31 * The ELCR is a register that controls the trigger mode and polarity of
33 * consulted for determining the appropriate trigger mode of EISA
39 * trigger mode. All edge triggered IRQs use active-hi polarity, and
91 * Returns 1 for level trigger, 0 for edge.
106 * Set the trigger mode for a specified IRQ. Mode of 0 means edge triggered,
110 elcr_write_trigger(u_int irq, enum intr_trigger trigger) argument
116 if (trigger == INTR_TRIGGER_LEVEL)
/freebsd-10.1-release/sys/amd64/vmm/io/
H A Dvatpic.h52 int vatpic_set_irq_trigger(struct vm *vm, int irq, enum vm_intr_trigger trigger);
/freebsd-10.1-release/sys/contrib/octeon-sdk/
H A Dcvmx-tra.c447 * Setup a TRA trigger. How the triggers are used should be
450 * @param trigger Trigger to setup (0 or 1)
451 * @param filter Which types of events to trigger on
453 * Source trigger match
455 * Destination trigger match
460 void cvmx_tra_trig_setup(uint64_t trigger, cvmx_tra_filt_t filter, argument
485 cvmx_write_csr(CVMX_TRAX_TRIG0_CMD(tad) + trigger * 64, tra_filt_cmd.u64);
486 cvmx_write_csr(CVMX_TRAX_TRIG0_SID(tad) + trigger * 64, tra_filt_sid.u64);
487 cvmx_write_csr(CVMX_TRAX_TRIG0_DID(tad) + trigger * 64, tra_filt_did.u64);
488 cvmx_write_csr(CVMX_TRAX_TRIG0_ADR_ADR(tad) + trigger * 6
511 cvmx_tra_trig_setup_v2(int tra, uint64_t trigger, cvmx_tra_filt_t filter, cvmx_tra_sid_t source_filter, cvmx_tra_did_t dest_filter, uint64_t address, uint64_t address_mask) argument
[all...]

Completed in 210 milliseconds

1234